Intel Atom: o mini-Centrino

Intel Atom: o mini-Centrino
Embora nem sempre sejam as melhores opções em termos de custo-benefício, os notebooks Intel Centrino desfrutam de uma boa fama no mercado, já que oferecem quase sempre uma boa estabilidade e uma boa autonomia de baterias. Eles podem ser mais caros, mas pelo menos você sabe que está comprando um produto equilibrado.

A idéia por trás da marca Centrino é justamente convencer os compradores de que os notebooks com o selo são uma escolha segura. A partir do momento em que os compradores passam a preferir notebooks baseados na plataforma, a Intel pode trabalhar com margens de lucro maiores e assim maximizar os lucros, ao mesmo tempo em que mantém o controle sobre toda a plataforma. Ao comprar um notebook com o selo, você está levando pra casa não apenas um processador, mas também um chipset e uma placa wireless da Intel:

index_html_1e73d52c

A primeira encarnação da plataforma Centrino foi lançada em 2003 e responde pelo codenome Carmel. Ela consiste na combinação de um Pentium M com core Banias ou Dothan, um chipset i855 e uma placa wireless Intel 2100 ou 2200.

Em 2005 foi lançada a segunda geração, sob o codenome Sonoma. Nessa época, o Banias já havia sido descontinuado, de forma que passou a ser usado exclusivamente o Pentium M com core Dothan. O limitado 855 foi substituído pelo Intel 915, que trouxe o suporte a memórias DDR2, SATA, slots Express Card, áudio HDA e bus de 533 MHz.

A terceira geração é a plataforma Napa, lançada em 2006. Ela consiste no uso de um processador Core Solo, Core Duo ou Core 2 Duo em versão soquete M, combinado com o chipset Intel 945 (que inclui suporte a bus de 667 MHz) e uma placa wireless Intel 3945ABG ou 4965AGN.

Em 2007 foi lançada a plataforma Santa Rosa. Ela prevê o uso de um processador Core 2 Duo soquete P (bus de 800 MHz), combinado com um chipset Intel 965 e uma placa wireless Intel 4965AGN. Para 2008 é esperada uma atualização da plataforma Santa Rosa, com a inclusão de processadores baseados no Penryn. Eles incluirão suporte ao EDAT (Enhanced Dynamic Acceleration Technology), onde o processador pode desativar o segundo núcleo e usar a redução no consumo para fazer um overclock temporário do núcleo ativo, melhorando assim o desempenho em aplicativos single threaded, que não são capazes de se beneficiar de um processador dual-core.

Depois da plataforma Centrino, temos agora um novo selo, o Intel Atom, uma plataforma destinada a ser usada em MIDs, tablets e em equipamentos ultra-portáteis em geral:

index_html_757a7c2f

O principal componente da plataforma Atom é o Silverthorne, um processador x86 de ultra-baixo consumo, que nas versões mais lentas oferece um consumo abaixo da marca de 1 watt. Para efeito de comparação, o Celeron ULV usado no Asus Eee tem um TDP de 5.5 watts, quase 10 vezes mais do que a versão mais econômica do Silverthorne, que oferece um TDP de apenas 0.6 watts.

Como em outros lançamentos da Intel, temos uma mistura de nomes-código e de nomes comerciais. Vamos começar dando nomes aos bois:

Silverthorne: O processador.
Poulsbo: O chipset.
Menlow: O nome código da plataforma (combinação de ambos).
Intel Atom: O nome comercial da plataforma.

Uma diferença com relação à plataforma Centrino é na que na plataforma Atom os fabricantes podem escolher entre usar uma placa wireless da Intel, ou usar soluções de outros fabricantes. Com isso, fabricantes como a Sony ou a Toshiba podem produzir MIDs e outros tipos de aparelhos, combinando a dupla Silverthorne/Poulsbo com chipsets wireless 802.11n, transmissores de celular, transmissores WiMAX ou qualquer outra tecnologia que estiver disponível, sem se limitarem às soluções oferecidas pela Intel, e ainda assim utilizarem o selo Atom.

Ao contrário de processadores de baixo consumo anteriores (como o Celeron ULV usado no Asus Eee), o Silverthorne não é uma versão reduzida do Pentium-M ou do Core Duo, mas sim um novo projeto, desenvolvido a partir do zero, com o propósito de ser um processador de baixo consumo.

Nesse slide da Intel, temos uma comparação entre o tamanho físico do Silverthorne e do Penryn:

index_html_1a006b0a

O Silverthorne possui apenas 47 milhões de transístores, que ocupam uma área de apenas 25 milímetros quadrados. Para ter uma idéia, o Pentium-M e o Celeron-M (baseados no core Dothan) ocupam uma área de 84 milímetros quadrados, enquanto o Core 2 Duo com core Penryn (também fabricado usando a técnica de 0.045 micron) ocupa uma área de 107 mm².

Como o chip é muito pequeno, a Intel optou por utilizar um formato retangular (em vez de quadrado) para facilitar o encapsulamento:

index_html_34004c80

Quase todos os processadores atuais, tanto Intel quanto AMD são capazes de processar instruções fora de ordem (out-of-order), de forma a processar mais instruções por ciclo de clock. Isso é feito por dois circuitos adicionais.

O principal é o circuito de branch prediction, responsável por organizar as instruções de forma a manter as unidades de execução do processador ocupadas. Além de procurar adiante no código por instruções que podem ser “adiantadas”, ele “adivinha” o resultado de operações de tomada de decisão (levando em conta fatores como o resultado de operações similares executadas anteriormente), permitindo que o processador vá “adiantando o serviço” enquanto o resultado da primeira operação ainda não é conhecido. Como todo bom adivinho, ele às vezes erra, fazendo com que o processador tenha que descartar todo o trabalho feito. Apesar disso, o ganho é muito grande, pois nos processadores atuais o circuito de branch prediction acerta em mais de 90% dos casos.

O segundo componente é o scheduler (agendador), que armazena as instruções a serem processadas nos ciclos seguintes, de acordo com o determinado pelo circuito de branch prediction.

Este trabalho é necessário, pois apesar de todos os avanços na arquitetura dos processadores, a maior parte dos softwares que utilizamos continuam sendo otimizados para processadores i386, que processavam uma instrução de cada vez. O circuito de branch prediction permite (até certo ponto) quebrar esta limitação, permitindo que o processador processe 3 ou mais instruções por ciclo, mas em troca aumenta bastante a complexidade e o consumo elétrico do processador, já que, além de processar as instruções, ele passa a ter o trabalho de ordená-las.

Uma das diferenças fundamentais do Silverthorne é que ele processa instruções em order (in-order), de forma muito similar ao que faziam os processadores Pentium 1. Com isso, os circuitos adicionais deixam de ser necessários e o processador deixa de desperdiçar energia pré-processando e ordenando as instruções e pode se concentrar no trabalho principal.

Naturalmente, essa arquitetura simples resulta em um desempenho por ciclo de clock inferior ao de outros processadores atuais. Para reduzir a perda a Intel ressuscitou outra tecnologia já quase esquecida: o hyper threading.

Apesar de não ser um processadores dual-core, o Silverthorne possui duas unidades de execução, que permitem a ele processar duas instruções por ciclo de clock. O hyper threading permite que as duas unidades sejam usadas para processar dois threads diferentes, que podem ser dois programas rodando simultaneamente, ou duas instâncias do mesmo programa. Isso permite melhor aproveitar os recursos do processador, sem com isso aumentar muito seu consumo elétrico.

A remoção dos circuitos de branch prediction permitiu também que a Intel aumentasse o número de estágios de pipeline, para 16 (o Core 2 Duo possui 14 estágios e o antigo Pentium M com Core Dothan possui 12). Normalmente, aumentar o número de estágios de pipeline reduz consideravelmente o desempenho do processador, já que faz ele perder mais tempo em operações de tomada de decisão (o exemplo mais extremo é o Pentium D, que precisa operar a uma freqüência pelo menos duas vezes mais alta para competir com um Core 2 Duo atual).

Entretanto, o fato do Silverthorne processar as instruções em ordem reduz bastante a perda, permitindo que o processador possua mais estágios de pipeline (que permitem que ele opere a freqüências mais altas), sem com isso comprometer de forma considerável a eficiência.

Completando o conjunto, temos o suporte a instruções SSE 3 (assim como o Pentium-M, o Silverthorne conta com uma única unidade SSE), 56KB de cache L1 (32KB para instruções e 24KB para dados) e 512 KB de cache L2. Ao contrário do que se especulava nos meses anteriores, está disponível também o suporte a instruções de 64 bits.

O Silverthorne utiliza o mesmo barramento com 4 transferências por clock utilizado nos processadores Core 2 Duo, mas a freqüência de operação é mais baixa (para economizar energia) e é chaveada de forma dinâmica entre 533 e 400 MHz, de forma a economizar energia nos momentos de baixa atividade. Este recurso é muito similar ao “Dynamic Front Side Bus Switching” usado na plataforma Santa Rosa (onde o FSB oscila entre 800 e 400 MHz).

A versão mais econômica do Silverthorne operará a apenas 500 MHz, mas oferecerá um TDP (consumo típico) de apenas 0.6 watts, o que é menos até mesmo que chips ARM similares, usados em celulares e palmtops. Existirão também versões mais rápidas, operando a até 1.8 GHz, com TDP de 2.5 watts. Futuramente, podem vir a ser lançadas novas revisões do processador, operando a freqüências mais elevadas, ou oferecendo um consumo elétrico ligeiramente mais baixo.

Mesmo com todas as melhorias, o Silverthorne oferecerá um desempenho muito inferior ao dos processadores Core 2 Duo baseados no core Penryn, o que natural, considerando a simplicidade do chip. O objetivo da Intel é simplesmente oferecer um processador capaz de operar dentro da faixa de 1 a 2 GHz, que ofereça um desempenho por ciclo de clock similar ao de um Pentium-M, o que é bastante interessante considerando a classe de dispositivos a que o Silverthorne é destinado:

index_html_3b67916f

index_html_m4ed61041

O Silverthorne estará disponível ainda na primeira metade de 2008 (provavelmente entre maio e junho) e especula-se que ele poderá vir a ser usado na versão do Asus Eee com tela de 8.9″ que será exibido na CeBIT:

index_html_3f4a02c0

A Asus tem se revelado surpreendentemente lenta com relação às atualizações do Eee, por isso ainda não é possível confirmar a notícia, mas, independentemente de ser usado ou não no Eee, o Silverthorne deverá ser rapidamente adotado em ultraportáteis similares de outros fabricantes; a maioria deles rodando distribuições Linux otimizadas para a tarefa e alguns poucos rodando o Vista (que além da questão do licenciamento, é mais pesado).

A Intel pretende lançar uma versão aperfeiçoada da plataforma Menlow entre 2009 e 2010 (ainda fabricada usando a técnica de 0.045 micron), que responderá pelo nome Moorestown.

O sucessor do Silverthorne incluirá um controlador de memória integrado (similar ao que temos no Athlon X2) e também um chipset de vídeo integrado ao próprio processador. Isso permitirá reduzir a latência de acesso à memória (um fator especialmente crítico dentro da arquitetura de processamento de instruções em ordem do Silverthorne/Moorestown) e, ao mesmo tempo, reduzir o consumo elétrico global dos aparelhos, já que o chipset de vídeo integrado será construído usando a mesma técnica de fabricação usada no restante do processador e poderá utilizar os mesmos estágios de baixo consumo que ele.

Outra mudança é que o Moorestown utilizará memórias DDR3 (que até a época do lançamento já deverão ter caído de preço), enquanto o Menlow/Silverthorne utilizará memórias DDR2.

Com as melhorias, o Moorestown será capaz de atingir mais nichos que o Silverthorne. Muito provavelmente, ele será usado não apenas em MIDs e em notebooks de baixo-consumo, mas também em PDAs e em alguns smartphones, que até o momento são quase que exclusivamente baseados em processadores ARM. Uma terceira geração da plataforma, fabricada em uma técnica de 0.032 micron poderá oferecer um consumo elétrico ainda mais baixo, abrindo as portas para uso da plataforma em todo tipo de aparelhos, incluindo os modelos mais compactos de smartphones.

A Intel demonstrou um protótipo de um comunicador pessoal baseado no Moorestown no último IDF. Apesar de estranho, o formato alongado permite incluir uma tela de 1024 pixels de largura (com, provavelmente, 256 pixels de altura), que permite acessar páginas web sem redimensionamento ou reformatação do conteúdo:

index_html_m7bb74691

Atualmente, a plataforma mais usada em smartphones são os processadores ARM, em suas diversas variações. Embora operem a freqüências relativamente baixas, se comparados aos processadores x86 (na maioria dos casos apenas 300, 400 ou 500 MHz), os chips ARM são baratos e possuem um baixo consumo elétrico, por isso são extremamente populares em celulares, PDAs, pontos de acesso, modems ADSL, centrais telefônicas, sistemas de automatização em geral, videogames (como o GameBoy Advance) e assim por diante. O grande problema é que, embora utilizem um conjunto de instruções mais eficiente, os chips ARM não são compatíveis com os softwares desenvolvidos para micros PC, o que torna necessário desenvolver novos softwares, ou arcar com os custos de modificar os softwares existentes para rodarem na nova plataforma.

Por serem processadores x86 completos, o Silverthorne e o Moorestown terão uma grande vantagem competitiva, já que permitirão rodar o Firefox e outros softwares completos sem necessidade de modificações nos binários.

Outra vantagem a favor do Silverthorne e do Moorestown é a técnica de fabricação usada. A maioria dos chips ARM usados atualmente são ainda fabricados usando a técnica de 0.09 micron ou mesmo a obsoleta técnica de 0.13 micron, o que resulta em um consumo elétrico por transístor muito mais elevado, tirando parte da competitividade dos chips. Atualmente, apenas a Intel possui fábricas de 0.045 micron, o que garantirá que o Menlow/Moorestown mantenha a vantagem competitiva durante algum tempo.

Naturalmente, não é de se esperar que a nova plataforma seja adotada em massa da noite para o dia, mas a Intel tem uma boa chance de conseguir conquistar uma boa fatia do mercado de dispositivos integrados ao longo dos próximos anos. Isso tratá um cenário bastante interessante, já que com um uso de processadores x86, celulares, HDTVs e outros dispositivos poderão rodar programas originalmente escritos para micros PC.

Se você está pensando em comprar um notebook ultra-compacto, ou um smartphone nos próximos anos, é melhor adiar a compra o máximo possível: muita coisa vai acontecer.

Sobre o Autor

Redes Sociais:

Deixe seu comentário

X